Home New Help Edit

WindowsでVerilog(Icarus Verilog)

2007年6月18日
Suns & Moon Laboratory

はじめに

WindowsでVerilog言語環境を整備。

インストール


Icarus Verilog

参考:Windows版 Icarus Verilog のインストールについて

Icarus Verilog for Windows
iverilog-0.9.4_setup.exe

動作確認

こんなベンチを作成
testbench2.zip

下記コマンドを実行。(GNU Make 3.81)
make view
GTKWave起動
起動しただけでは波形が表示されません。


表示信号を選択


表示信号選択ダイアログ
モジュールまたは信号を選択して、appendボタンを押す。


波形が表示されました。


基数を10進数に変更。


参考

iverilog & GTKwave (Windows version)

Home New Help Edit
2023-03-24 09:22:15 32400